Chemical vapor deposition

Method used to apply surface coatings
DC plasma (violet) enhances the growth of carbon nanotubes in a laboratory-scale PECVD (plasma-enhanced chemical vapor deposition) apparatus

Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high-quality, and high-performance, solid materials. The process is often used in the semiconductor industry to produce thin films.[1]

In typical CVD, the wafer (substrate) is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposit. Frequently, volatile by-products are also produced, which are removed by gas flow through the reaction chamber.

Microfabrication processes widely use CVD to deposit materials in various forms, including: monocrystalline, polycrystalline, amorphous, and epitaxial. These materials include: silicon (dioxide, carbide, nitride, oxynitride), carbon (fiber, nanofibers, nanotubes, diamond and graphene), fluorocarbons, filaments, tungsten, titanium nitride and various high-κ dielectrics.

The term chemical vapour deposition was coined in 1960 by John M. Blocher, Jr. who intended to differentiate chemical from physical vapour deposition (PVD).

Types

Hot-wall thermal CVD (batch operation type)
Plasma assisted CVD

CVD is practiced in a variety of formats. These processes generally differ in the means by which chemical reactions are initiated.

  • Classified by operating conditions:
    • Atmospheric pressure CVD (APCVD) – CVD at atmospheric pressure.
    • Low-pressure CVD (LPCVD) – CVD at sub-atmospheric pressures.[2] Reduced pressures tend to reduce unwanted gas-phase reactions and improve film uniformity across the wafer.
    • Ultrahigh vacuum CVD (UHVCVD) – CVD at very low pressure, typically below 10−6 Pa (≈ 10−8 torr). Note that in other fields, a lower division between high and ultra-high vacuum is common, often 10−7 Pa.
    • Sub-atmospheric CVD (SACVD) – CVD at sub-atmospheric pressures. Uses tetraethyl orthosilicate (TEOS) and ozone to fill high aspect ratio Si structures with silicon dioxide (SiO2).[3]

Most modern CVD is either LPCVD or UHVCVD.

  • Classified by physical characteristics of vapor:
    • Aerosol assisted CVD (AACVD) – CVD in which the precursors are transported to the substrate by means of a liquid/gas aerosol, which can be generated ultrasonically. This technique is suitable for use with non-volatile precursors.
    • Direct liquid injection CVD (DLICVD) – CVD in which the precursors are in liquid form (liquid or solid dissolved in a convenient solvent). Liquid solutions are injected in a vaporization chamber towards injectors (typically car injectors). The precursor vapors are then transported to the substrate as in classical CVD. This technique is suitable for use on liquid or solid precursors. High growth rates can be reached using this technique.
  • Classified by type of substrate heating:
    • Hot wall CVD – CVD in which the chamber is heated by an external power source and the substrate is heated by radiation from the heated chamber walls.
    • Cold wall CVD – CVD in which only the substrate is directly heated either by induction or by passing current through the substrate itself or a heater in contact with the substrate. The chamber walls are at room temperature.
  • Plasma methods (see also Plasma processing):
    • Microwave plasma-assisted CVD (MPCVD)
    • Plasma-enhanced CVD (PECVD) – CVD that utilizes plasma to enhance chemical reaction rates of the precursors.[4] PECVD processing allows deposition at lower temperatures, which is often critical in the manufacture of semiconductors. The lower temperatures also allow for the deposition of organic coatings, such as plasma polymers, that have been used for nanoparticle surface functionalization.[5]
    • Remote plasma-enhanced CVD (RPECVD) – Similar to PECVD except that the wafer substrate is not directly in the plasma discharge region. Removing the wafer from the plasma region allows processing temperatures down to room temperature.
    • Low-energy plasma-enhanced chemical vapor deposition (LEPECVD) - CVD employing a high density, low energy plasma to obtain epitaxial deposition of semiconductor materials at high rates and low temperatures.
  • Atomic-layer CVD (ALCVD) – Deposits successive layers of different substances to produce layered, crystalline films. See Atomic layer epitaxy.
  • Combustion chemical vapor deposition (CCVD) – Combustion Chemical Vapor Deposition or flame pyrolysis is an open-atmosphere, flame-based technique for depositing high-quality thin films and nanomaterials.
  • Hot filament CVD (HFCVD) – also known as catalytic CVD (Cat-CVD) or more commonly, initiated CVD, this process uses a hot filament to chemically decompose the source gases.[6] The filament temperature and substrate temperature thus are independently controlled, allowing colder temperatures for better absorption rates at the substrate and higher temperatures necessary for decomposition of precursors to free radicals at the filament.[7]
  • Hybrid physical-chemical vapor deposition (HPCVD) – This process involves both chemical decomposition of precursor gas and vaporization of a solid source.
  • Metalorganic chemical vapor deposition (MOCVD) – This CVD process is based on metalorganic precursors.
  • Rapid thermal CVD (RTCVD) – This CVD process uses heating lamps or other methods to rapidly heat the wafer substrate. Heating only the substrate rather than the gas or chamber walls helps reduce unwanted gas-phase reactions that can lead to particle formation.
  • Vapor-phase epitaxy (VPE)
  • Photo-initiated CVD (PICVD) – This process uses UV light to stimulate chemical reactions. It is similar to plasma processing, given that plasmas are strong emitters of UV radiation. Under certain conditions, PICVD can be operated at or near atmospheric pressure.[8]
  • Laser chemical vapor deposition (LCVD) - This CVD process uses lasers to heat spots or lines on a substrate in semiconductor applications. In MEMS and in fiber production the lasers are used rapidly to break down the precursor gas—process temperature can exceed 2000 °C—to build up a solid structure in much the same way as laser sintering based 3-D printers build up solids from powders.

Uses

CVD is commonly used to deposit conformal films and augment substrate surfaces in ways that more traditional surface modification techniques are not capable of. CVD is extremely useful in the process of atomic layer deposition at depositing extremely thin layers of material. A variety of applications for such films exist. Gallium arsenide is used in some integrated circuits (ICs) and photovoltaic devices. Amorphous polysilicon is used in photovoltaic devices. Certain carbides and nitrides confer wear-resistance.[9] Polymerization by CVD, perhaps the most versatile of all applications, allows for super-thin coatings which possess some very desirable qualities, such as lubricity, hydrophobicity and weather-resistance to name a few.[10] The CVD of metal-organic frameworks, a class of crystalline nanoporous materials, has recently been demonstrated.[11] Recently scaled up as an integrated cleanroom process depositing large-area substrates,[12] the applications for these films are anticipated in gas sensing and low-κ dielectrics. CVD techniques are advantageous for membrane coatings as well, such as those in desalination or water treatment, as these coatings can be sufficiently uniform (conformal) and thin that they do not clog membrane pores.[13]

Commercially important materials prepared by CVD

Polysilicon

Polycrystalline silicon is deposited from trichlorosilane (SiHCl3) or silane (SiH4), using the following reactions:[14]

SiHCl3 → Si + Cl2 + HCl
SiH4 → Si + 2 H2

This reaction is usually performed in LPCVD systems, with either pure silane feedstock, or a solution of silane with 70–80% nitrogen. Temperatures between 600 and 650 °C and pressures between 25 and 150 Pa yield a growth rate between 10 and 20 nm per minute. An alternative process uses a hydrogen-based solution. The hydrogen reduces the growth rate, but the temperature is raised to 850 or even 1050 °C to compensate. Polysilicon may be grown directly with doping, if gases such as phosphine, arsine or diborane are added to the CVD chamber. Diborane increases the growth rate, but arsine and phosphine decrease it.

Silicon dioxide

Silicon dioxide (usually called simply "oxide" in the semiconductor industry) may be deposited by several different processes. Common source gases include silane and oxygen, dichlorosilane (SiCl2H2) and nitrous oxide[15] (N2O), or tetraethylorthosilicate (TEOS; Si(OC2H5)4). The reactions are as follows:[16]

SiH4 + O2 → SiO2 + 2 H2
SiCl2H2 + 2 N2O → SiO2 + 2 N2 + 2 HCl
Si(OC2H5)4 → SiO2 + byproducts

The choice of source gas depends on the thermal stability of the substrate; for instance, aluminium is sensitive to high temperature. Silane deposits between 300 and 500 °C, dichlorosilane at around 900 °C, and TEOS between 650 and 750 °C, resulting in a layer of low- temperature oxide (LTO). However, silane produces a lower-quality oxide than the other methods (lower dielectric strength, for instance), and it deposits nonconformally. Any of these reactions may be used in LPCVD, but the silane reaction is also done in APCVD. CVD oxide invariably has lower quality than thermal oxide, but thermal oxidation can only be used in the earliest stages of IC manufacturing.

Oxide may also be grown with impurities (alloying or "doping"). This may have two purposes. During further process steps that occur at high temperature, the impurities may diffuse from the oxide into adjacent layers (most notably silicon) and dope them. Oxides containing 5–15% impurities by mass are often used for this purpose. In addition, silicon dioxide alloyed with phosphorus pentoxide ("P-glass") can be used to smooth out uneven surfaces. P-glass softens and reflows at temperatures above 1000 °C. This process requires a phosphorus concentration of at least 6%, but concentrations above 8% can corrode aluminium. Phosphorus is deposited from phosphine gas and oxygen:

4 PH3 + 5 O2 → 2 P2O5 + 6 H2

Glasses containing both boron and phosphorus (borophosphosilicate glass, BPSG) undergo viscous flow at lower temperatures; around 850 °C is achievable with glasses containing around 5 weight % of both constituents, but stability in air can be difficult to achieve. Phosphorus oxide in high concentrations interacts with ambient moisture to produce phosphoric acid. Crystals of BPO4 can also precipitate from the flowing glass on cooling; these crystals are not readily etched in the standard reactive plasmas used to pattern oxides, and will result in circuit defects in integrated circuit manufacturing.

Besides these intentional impurities, CVD oxide may contain byproducts of the deposition. TEOS produces a relatively pure oxide, whereas silane introduces hydrogen impurities, and dichlorosilane introduces chlorine.

Lower temperature deposition of silicon dioxide and doped glasses from TEOS using ozone rather than oxygen has also been explored (350 to 500 °C). Ozone glasses have excellent conformality but tend to be hygroscopic – that is, they absorb water from the air due to the incorporation of silanol (Si-OH) in the glass. Infrared spectroscopy and mechanical strain as a function of temperature are valuable diagnostic tools for diagnosing such problems.

Silicon nitride

Silicon nitride is often used as an insulator and chemical barrier in manufacturing ICs. The following two reactions deposit silicon nitride from the gas phase:

3 SiH4 + 4 NH3 → Si3N4 + 12 H2
3 SiCl2H2 + 4 NH3 → Si3N4 + 6 HCl + 6 H2

Silicon nitride deposited by LPCVD contains up to 8% hydrogen. It also experiences strong tensile stress, which may crack films thicker than 200 nm. However, it has higher resistivity and dielectric strength than most insulators commonly available in microfabrication (1016 Ω·cm and 10 MV/cm, respectively).

Another two reactions may be used in plasma to deposit SiNH:

2 SiH4 + N2 → 2 SiNH + 3 H2
SiH4 + NH3 → SiNH + 3 H2

These films have much less tensile stress, but worse electrical properties (resistivity 106 to 1015 Ω·cm, and dielectric strength 1 to 5 MV/cm).[17]

Metals

Tungsten CVD, used for forming conductive contacts, vias, and plugs on a semiconductor device,[18] is achieved from tungsten hexafluoride (WF6), which may be deposited in two ways:

WF6 → W + 3 F2
WF6 + 3 H2 → W + 6 HF

Other metals, notably aluminium and copper, can be deposited by CVD. As of 2010[update], commercially cost-effective CVD for copper did not exist, although volatile sources exist, such as Cu(hfac)2. Copper is typically deposited by electroplating. Aluminium can be deposited from triisobutylaluminium (TIBAL) and related organoaluminium compounds.

CVD for molybdenum, tantalum, titanium, nickel is widely used.[19] These metals can form useful silicides when deposited onto silicon. Mo, Ta and Ti are deposited by LPCVD, from their pentachlorides. Nickel, molybdenum, and tungsten can be deposited at low temperatures from their carbonyl precursors. In general, for an arbitrary metal M, the chloride deposition reaction is as follows:

2 MCl5 + 5 H2 → 2 M + 10 HCl

whereas the carbonyl decomposition reaction can happen spontaneously under thermal treatment or acoustic cavitation and is as follows:

M(CO)n → M + n CO

the decomposition of metal carbonyls is often violently precipitated by moisture or air, where oxygen reacts with the metal precursor to form metal or metal oxide along with carbon dioxide.

Niobium(V) oxide layers can be produced by the thermal decomposition of niobium(V) ethoxide with the loss of diethyl ether[20][21] according to the equation:

2 Nb(OC2H5)5 → Nb2O5 + 5 C2H5OC2H5

Graphene

Many variations of CVD can be utilized to synthesize graphene. Although many advancements have been made, the processes listed below are not commercially viable yet.

  • Carbon source

The most popular carbon source that is used to produce graphene is methane gas. One of the less popular choices is petroleum asphalt, notable for being inexpensive but more difficult to work with.[22]

Although methane is the most popular carbon source, hydrogen is required during the preparation process to promote carbon deposition on the substrate. If the flow ratio of methane and hydrogen are not appropriate, it will cause undesirable results. During the growth of graphene, the role of methane is to provide a carbon source, the role of hydrogen is to provide H atoms to corrode amorphous C,[23] and improve the quality of graphene. But excessive H atoms can also corrode graphene.[24] As a result, the integrity of the crystal lattice is destroyed, and the quality of graphene is deteriorated.[25] Therefore, by optimizing the flow rate of methane and hydrogen gases in the growth process, the quality of graphene can be improved.

  • Use of catalyst

The use of catalyst is viable in changing the physical process of graphene production. Notable examples include iron nanoparticles, nickel foam, and gallium vapor. These catalysts can either be used in situ during graphene buildup,[22][26] or situated at some distance away at the deposition area.[27] Some catalysts require another step to remove them from the sample material.[26]

The direct growth of high-quality, large single-crystalline domains of graphene on a dielectric substrate is of vital importance for applications in electronics and optoelectronics. Combining the advantages of both catalytic CVD and the ultra-flat dielectric substrate, gaseous catalyst-assisted CVD[28] paves the way for synthesizing high-quality graphene for device applications while avoiding the transfer process.

  • Physical conditions

Physical conditions such as surrounding pressure, temperature, carrier gas, and chamber material play a big role in production of graphene.

Most systems use LPCVD with pressures ranging from 1 to 1500 Pa.[22][27] However, some still use APCVD.[26] Low pressures are used more commonly as they help prevent unwanted reactions and produce more uniform thickness of deposition on the substrate.

On the other hand, temperatures used range from 800 to 1050 °C.[22][26] High temperatures translate to an increase of the rate of reaction. Caution has to be exercised as high temperatures do pose higher danger levels in addition to greater energy costs.

  • Carrier gas

Hydrogen gas and inert gases such as argon are flowed into the system.[22][26] These gases act as a carrier, enhancing surface reaction and improving reaction rate, thereby increasing deposition of graphene onto the substrate.

  • Chamber material

Standard quartz tubing and chambers are used in CVD of graphene.[29][30] Quartz is chosen because it has a very high melting point and is chemically inert. In other words, quartz does not interfere with any physical or chemical reactions regardless of the conditions.

  • Methods of analysis of results

Raman spectroscopy, X-ray spectroscopy, transmission electron microscopy (TEM), and scanning electron microscopy (SEM) are used to examine and characterize the graphene samples.[29][30]

Raman spectroscopy is used to characterize and identify the graphene particles; X-ray spectroscopy is used to characterize chemical states; TEM is used to provide fine details regarding the internal composition of graphene; SEM is used to examine the surface and topography.

Sometimes, atomic force microscopy (AFM) is used to measure local properties such as friction and magnetism.[29][30]

Cold wall CVD technique can be used to study the underlying surface science involved in graphene nucleation and growth as it allows unprecedented control of process parameters like gas flow rates, temperature and pressure as demonstrated in a recent study. The study was carried out in a home-built vertical cold wall system utilizing resistive heating by passing direct current through the substrate. It provided conclusive insight into a typical surface-mediated nucleation and growth mechanism involved in two-dimensional materials grown using catalytic CVD under conditions sought out in the semiconductor industry.[31][32]

Graphene nanoribbon

In spite of graphene's exciting electronic and thermal properties, it is unsuitable as a transistor for future digital devices, due to the absence of a bandgap between the conduction and valence bands. This makes it impossible to switch between on and off states with respect to electron flow. Scaling things down, graphene nanoribbons of less than 10 nm in width do exhibit electronic bandgaps and are therefore potential candidates for digital devices. Precise control over their dimensions, and hence electronic properties, however, represents a challenging goal, and the ribbons typically possess rough edges that are detrimental to their performance.

Diamond

Free-standing single-crystal CVD diamond disc
A colorless faceted gem
Colorless gem cut from diamond grown by chemical vapor deposition

CVD can be used to produce a synthetic diamond by creating the circumstances necessary for carbon atoms in a gas to settle on a substrate in crystalline form. CVD of diamonds has received much attention in the materials sciences because it allows many new applications that had previously been considered too expensive. CVD diamond growth typically occurs under low pressure (1–27 kPa; 0.145–3.926 psi; 7.5–203 Torr) and involves feeding varying amounts of gases into a chamber, energizing them and providing conditions for diamond growth on the substrate. The gases always include a carbon source, and typically include hydrogen as well, though the amounts used vary greatly depending on the type of diamond being grown. Energy sources include hot filament, microwave power, and arc discharges, among others. The energy source is intended to generate a plasma in which the gases are broken down and more complex chemistries occur. The actual chemical process for diamond growth is still under study and is complicated by the very wide variety of diamond growth processes used.

Using CVD, films of diamond can be grown over large areas of substrate with control over the properties of the diamond produced. In the past, when high pressure high temperature (HPHT) techniques were used to produce a diamond, the result was typically very small free-standing diamonds of varying sizes. With CVD diamond, growth areas of greater than fifteen centimeters (six inches) in diameter have been achieved, and much larger areas are likely to be successfully coated with diamond in the future. Improving this process is key to enabling several important applications.

The growth of diamond directly on a substrate allows the addition of many of diamond's important qualities to other materials. Since diamond has the highest thermal conductivity of any bulk material, layering diamond onto high heat-producing electronics (such as optics and transistors) allows the diamond to be used as a heat sink.[33][34] Diamond films are being grown on valve rings, cutting tools, and other objects that benefit from diamond's hardness and exceedingly low wear rate. In each case the diamond growth must be carefully done to achieve the necessary adhesion onto the substrate. Diamond's very high scratch resistance and thermal conductivity, combined with a lower coefficient of thermal expansion than Pyrex glass, a coefficient of friction close to that of Teflon (polytetrafluoroethylene) and strong lipophilicity would make it a nearly ideal non-stick coating for cookware if large substrate areas could be coated economically.

CVD growth allows one to control the properties of the diamond produced. In the area of diamond growth, the word "diamond" is used as a description of any material primarily made up of sp3-bonded carbon, and there are many different types of diamond included in this. By regulating the processing parameters—especially the gases introduced, but also including the pressure the system is operated under, the temperature of the diamond, and the method of generating plasma—many different materials that can be considered diamond can be made. Single-crystal diamond can be made containing various dopants.[35] Polycrystalline diamond consisting of grain sizes from several nanometers to several micrometers can be grown.[33][36] Some polycrystalline diamond grains are surrounded by thin, non-diamond carbon, while others are not. These different factors affect the diamond's hardness, smoothness, conductivity, optical properties and more.

Chalcogenides

Commercially, mercury cadmium telluride is of continuing interest for detection of infrared radiation. Consisting of an alloy of CdTe and HgTe, this material can be prepared from the dimethyl derivatives of the respective elements.

See also

References

  1. ^ Sadri, Rad (15 January 2021). "Controlled physical properties and growth mechanism of manganese silicide nanorods". Journal of Alloys and Compounds. 851: 156693. doi:10.1016/j.jallcom.2020.156693. S2CID 224922987.
  2. ^ "Low Pressure Chemical Vapor Deposition – Technology and Equipment". Crystec Technology Trading GmbH.
  3. ^ Shareef, I. A.; Rubloff, G. W.; Anderle, M.; Gill, W. N.; Cotte, J.; Kim, D. H. (1995-07-01). "Subatmospheric chemical vapor deposition ozone/TEOS process for SiO2 trench filling". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 13 (4): 1888–1892. Bibcode:1995JVSTB..13.1888S. doi:10.1116/1.587830. ISSN 1071-1023.
  4. ^ Crystec Technology Trading GmbH, Plasma Enhanced Chemical Vapor Deposition – Technology and Equipment
  5. ^ Tavares, Jason; Swanson, E.J.; Coulombe, S. (2008). "Plasma Synthesis of Coated Metal Nanoparticles with Surface Properties Tailored for Dispersion". Plasma Processes and Polymers. 5 (8): 759. doi:10.1002/ppap.200800074.
  6. ^ Schropp, R.E.I.; B. Stannowski; A.M. Brockhoff; P.A.T.T. van Veenendaal; J.K. Rath. "Hot wire CVD of heterogeneous and polycrystalline silicon semiconducting thin films for application in thin film transistors and solar cells" (PDF). Materials Physics and Mechanics. pp. 73–82. Archived (PDF) from the original on 2005-02-15.
  7. ^ Gleason, Karen K.; Kenneth K.S. Lau; Jeffrey A. Caulfield (2000). "Structure and Morphology of Fluorocarbon Films Grown by Hot Filament Chemical Vapor Deposition". Chemistry of Materials. 12 (10): 3032. doi:10.1021/cm000499w. S2CID 96618488.
  8. ^ Dorval Dion, C.A.; Tavares, J.R. (2013). "Photo-Initiated Chemical Vapour Deposition as a Scalable Particle Functionalization Technology (A Practical Review)" (PDF). Powder Technology. 239: 484–491. doi:10.1016/j.powtec.2013.02.024.
  9. ^ Wahl, Georg et al. (2000) "Thin Films" in Ullmann's Encyclopedia of Industrial Chemistry, Wiley-VCH, Weinheim. doi:10.1002/14356007.a26_681
  10. ^ Gleason, Karen; Ayse Asatekin; Miles C. Barr; Samaan H. Baxamusa; Kenneth K.S. Lau; Wyatt Tenhaeff; Jingjing Xu (May 2010). "Designing polymer surfaces via vapor deposition". Materials Today. 13 (5): 26–33. doi:10.1016/S1369-7021(10)70081-X. hdl:1721.1/88187.
  11. ^ Stassen, I; Styles, M; Grenci, G; Van Gorp, H; Vanderlinden, W; De Feyter, S; Falcaro, P; De Vos, D; Vereecken, P; Ameloot, R (2015). "Chemical vapour deposition of zeolitic imidazolate framework thin films". Nature Materials. 15 (3): 304–10. Bibcode:2016NatMa..15..304S. doi:10.1038/nmat4509. PMID 26657328.
  12. ^ Cruz, A.; Stassen, I.; Krishtab, M.; Marcoen, K.; Stassin, T.; Rodríguez-Hermida, S.; Teyssandier, J.; Pletincx, S.; Verbeke, R.; Rubio-Giménez, V.; Tatay, S.; Martí-Gastaldo, C.; Meersschaut, J.; Vereecken, P. M.; De Feyter, S.; Hauffman, T.; Ameloot, R. (2019). "Integrated Cleanroom Process for the Vapor-Phase Deposition of Large-Area Zeolitic Imidazolate Framework Thin Films". Chemistry of Materials. 31 (22): 9462–9471. doi:10.1021/acs.chemmater.9b03435. hdl:10550/74201. S2CID 208737085.
  13. ^ Servi, Amelia T.; Guillen-Burrieza, Elena; Warsinger, David M.; Livernois, William; Notarangelo, Katie; Kharraz, Jehad; Lienhard V, John H.; Arafat, Hassan A.; Gleason, Karen K. (2017). "The effects of iCVD film thickness and conformality on the permeability and wetting of MD membranes" (PDF). Journal of Membrane Science. 523: 470–479. doi:10.1016/j.memsci.2016.10.008. hdl:1721.1/108260. ISSN 0376-7388. S2CID 4225384. Archived (PDF) from the original on 2018-07-23.
  14. ^ Simmler, W. "Silicon Compounds, Inorganic". Ullmann's Encyclopedia of Industrial Chemistry. Weinheim: Wiley-VCH. doi:10.1002/14356007.a24_001. ISBN 978-3527306732.
  15. ^ Proceedings of the Third World Congress of Chemical Engineering, Tokyo, p. 290 (1986)
  16. ^ Cao, Guozhong; Wang, Ying (2011). Nanostructures and Nanomaterials -- Synthesis, Properties and Applications. World Scientific Publishing. p. 248. doi:10.1142/7885. ISBN 978-981-4322-50-8.
  17. ^ Sze, S.M. (2008). Semiconductor devices: physics and technology. Wiley-India. p. 384. ISBN 978-81-265-1681-0.
  18. ^ "ALTUS Product Family". Lam Research. Retrieved 2021-04-21.
  19. ^ "Chemical Vapour Deposition - an overview | ScienceDirect Topics". www.sciencedirect.com. Retrieved 2022-10-20.
  20. ^ Maruyama, Toshiro (1994). "Electrochromic Properties of Niobium Oxide Thin Films Prepared by Chemical Vapor Deposition". Journal of the Electrochemical Society. 141 (10): 2868–2871. Bibcode:1994JElS..141.2868M. doi:10.1149/1.2059247.
  21. ^ Rahtu, Antti (2002). Atomic Layer Deposition of High Permittivity Oxides: Film Growth and In Situ Studies (Thesis). University of Helsinki. hdl:10138/21065. ISBN 952-10-0646-3.
  22. ^ a b c d e Liu, Zhuchen; Tu, Zhiqiang; Li, Yongfeng; Yang, Fan; Han, Shuang; Yang, Wang; Zhang, Liqiang; Wang, Gang; Xu, Chunming (2014-05-01). "Synthesis of three-dimensional graphene from petroleum asphalt by chemical vapor deposition". Materials Letters. 122: 285–288. doi:10.1016/j.matlet.2014.02.077.
  23. ^ Park, Hye Jin; Meyer, Jannik; Roth, Siegmar; Skákalová, Viera (Spring 2010). "Growth and properties of few-layer graphene prepared by chemical vapor deposition". Carbon. 48 (4): 1088–1094. arXiv:0910.5841. doi:10.1016/j.carbon.2009.11.030. ISSN 0008-6223. S2CID 15891662.
  24. ^ Wei, Dacheng; Lu, Yunhao; Han, Cheng; Niu, Tianchao; Chen, Wei; Wee, Andrew Thye Shen (2013-10-31). "Critical Crystal Growth of Graphene on Dielectric Substrates at Low Temperature for Electronic Devices". Angewandte Chemie. 125 (52): 14371–14376. Bibcode:2013AngCh.12514371W. doi:10.1002/ange.201306086. ISSN 0044-8249. PMID 24173776.
  25. ^ Chen, Jianyi; Guo, Yunlong; Wen, Yugeng; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Luo, Birong; Yu, Gui (2013-02-14). "Graphene: Two-Stage Metal-Catalyst-Free Growth of High-Quality Polycrystalline Graphene Films on Silicon Nitride Substrates (Adv. Mater. 7/2013)". Advanced Materials. 25 (7): 992–997. Bibcode:2013AdM....25..938C. doi:10.1002/adma.201370040. ISSN 0935-9648.
  26. ^ a b c d e Patel, Rajen B.; Yu, Chi; Chou, Tsengming; Iqbal, Zafar (2014). "Novel synthesis route to graphene using iron nanoparticles". Journal of Materials Research. 29 (14): 1522–1527. Bibcode:2014JMatR..29.1522P. doi:10.1557/jmr.2014.165. S2CID 137786071.
  27. ^ a b Murakami, Katsuhisa; Tanaka, Shunsuke; Hirukawa, Ayaka; Hiyama, Takaki; Kuwajima, Tomoya; Kano, Emi; Takeguchi, Masaki; Fujita, Jun-ichi (2015). "Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition". Applied Physics Letters. 106 (9): 093112. Bibcode:2015ApPhL.106i3112M. doi:10.1063/1.4914114.
  28. ^ Tang, Shujie; Wang, Haomin; Wang, Huishan (2015). "Silane-catalysed fast growth of large single-crystalline graphene on hexagonal boron nitride". Nature Communications. 6: 6499. arXiv:1503.02806. Bibcode:2015NatCo...6.6499T. doi:10.1038/ncomms7499. PMC 4382696. PMID 25757864.
  29. ^ a b c Zhang, CanKun; Lin, WeiYi; Zhao, ZhiJuan; Zhuang, PingPing; Zhan, LinJie; Zhou, YingHui; Cai, WeiWei (2015-09-05). "CVD synthesis of nitrogen-doped graphene using urea". Science China Physics, Mechanics & Astronomy. 58 (10): 107801. Bibcode:2015SCPMA..58.7801Z. doi:10.1007/s11433-015-5717-0. S2CID 101408264.
  30. ^ a b c Kim, Sang-Min; Kim, Jae-Hyun; Kim, Kwang-Seop; Hwangbo, Yun; Yoon, Jong-Hyuk; Lee, Eun-Kyu; Ryu, Jaechul; Lee, Hak-Joo; Cho, Seungmin (2014). "Synthesis of CVD-graphene on rapidly heated copper foils". Nanoscale. 6 (9): 4728–34. Bibcode:2014Nanos...6.4728K. doi:10.1039/c3nr06434d. PMID 24658264. S2CID 5241809.
  31. ^ Das, Shantanu; Drucker, Jeff (2017). "Nucleation and growth of single layer graphene on electrodeposited Cu by cold wall chemical vapor deposition". Nanotechnology. 28 (10): 105601. Bibcode:2017Nanot..28j5601D. doi:10.1088/1361-6528/aa593b. PMID 28084218. S2CID 13407439.
  32. ^ Das, Shantanu; Drucker, Jeff (28 May 2018). "Pre-coalescence scaling of graphene island sizes". Journal of Applied Physics. 123 (20): 205306. Bibcode:2018JAP...123t5306D. doi:10.1063/1.5021341. S2CID 126154018.
  33. ^ a b Costello, M; Tossell, D; Reece, D; Brierley, C; Savage, J (1994). "Diamond protective coatings for optical components". Diamond and Related Materials. 3 (8): 1137–1141. Bibcode:1994DRM.....3.1137C. doi:10.1016/0925-9635(94)90108-2.
  34. ^ Sun Lee, Woong; Yu, Jin (2005). "Comparative study of thermally conductive fillers in underfill for the electronic components". Diamond and Related Materials. 14 (10): 1647–1653. Bibcode:2005DRM....14.1647S. doi:10.1016/j.diamond.2005.05.008.
  35. ^ Isberg, J (2004). "Single crystal diamond for electronic applications". Diamond and Related Materials. 13 (2): 320–324. Bibcode:2004DRM....13..320I. doi:10.1016/j.diamond.2003.10.017.
  36. ^ Krauss, A (2001). "Ultrananocrystalline diamond thin films for MEMS and moving mechanical assembly devices". Diamond and Related Materials. 10 (11): 1952–1961. Bibcode:2001DRM....10.1952K. doi:10.1016/S0925-9635(01)00385-5.

Further reading

  • Jaeger, Richard C. (2002). "Film Deposition". Introduction to Microelectronic Fabrication (2nd ed.). Upper Saddle River: Prentice Hall. ISBN 978-0-201-44494-0.
  • Smith, Donald (1995). Thin-Film Deposition: Principles and Practice. MacGraw-Hill. ISBN 978-0-07-058502-7.
  • Dobkin and Zuraw (2003). Principles of Chemical Vapor Deposition. Kluwer. ISBN 978-1-4020-1248-8.
  • Okada K. (2007). "Plasma-enhanced chemical vapor deposition of nanocrystalline diamond" Sci. Technol. Adv. Mater. 8, 624 free-download review
  • Liu T., Raabe D. and Zaefferer S. (2008). "A 3D tomographic EBSD analysis of a CVD diamond thin film" Sci. Technol. Adv. Mater. 9 (2008) 035013 free-download
  • Wild, Christoph (2008). "CVD Diamond Properties and Useful Formula" CVD Diamond Booklet PDF free-download
  • Hess, Dennis W. (1988). Chemical vapor deposition of dielectric and metal films Archived 2013-08-01 at the Wayback Machine. Free-download from Electronic Materials and Processing: Proceedings of the First Electronic Materials and Processing Congress held in conjunction with the 1988 World Materials Congress Chicago, Illinois, USA, 24–30 September 1988, Edited by Prabjit Singh (Sponsored by the Electronic Materials and Processing Division of ASM International).
  • v
  • t
  • e
Glass science topics
BasicsFormulationGlass-ceramicsPreparationOpticsSurface
modificationDiverse
topics