Puolijohdeteollisuus

Piikiekkoja, joilla on mikropiirejä.

Puolijohdeteollisuus on teollisuuden ala, joka on käynnistynyt puolijohdekomponenttien, kuten transistorien teollisen valmistuksen alkaessa 1950-luvulla Yhdysvalloissa. Alan pioneeriyrityksiä ovat Shockley Semiconductor, Fairchild Semiconductor ja IBM. Pelkästä transistorien valmistuksesta ala on laajentunut mikropiireihin, jonka sovelluskohteita ovat muistit (muistipiirit), suorittimet (mikroprosessorit) ja litteät näyttölaitteet. Yhdistävänä tekijänä alan yrityksillä on nykyään samankaltainen valmistusteknologia ja asiakkaat eli elektroniikkateollisuus.

Puolijohteiden valmistustekniikka

Puolijohdeteollisuuden tuotteita valmistetaan useimmiten piikiekoille, mutta myös lasille ja erilaisille keraameille sekä muoveille siirtämällä niiden pinnalle kaasufaasissa metalleja ja metallioksideja ohuiksi, jopa muutaman atomin paksuisiksi kalvoiksi. Tätä tekniikkaa kutsutaan ohutkalvon kasvatukseksi. Ohutkalvorakenteet voivat olla hyvin monimutkaisia. Tyypillinen pinnoitusmenetelmä on CVD (Chemical Vapor Deposition) ja sen erilaiset muunnelmat. Suomessa teolliseksi prosessiksi kehitetty ALD (Atomic Layer Deposition) on eräs muunnelma kaasufaasipinnoituksesta, jossa kalvoja pystytään rakentamaan atomikerroksittain. Kalvoja voidaan myös poistaa, kun halutaan muodostaa erilaisia johdekuviointeja ja silloin menetelmänä on syövytys, jota sanotaan myös etsaukseksi.

Piin lisäksi käytetään yhdistelmiä, jotka yhdistävät on kahta tai useampaa alkuainetta kuten pii (Si) ja hiili (C) muodostavat piikarbidia (SiC).[1] Muita materiaaleja käytetään muun muassa sähköautojen virran hallintaan, 5G-verkoissa ja tutkissa sekä valokuitulinkeissä.[1] Yleisiä yhdistelmiä ovat galliumarsenidi (GaAs), galliumnitridi (GaN), piikarbidi (SiC), indiumfosfidi (InP) ja alumiini-gallium-indium-fosfidi (AlGaInP).[1]

Tekniikan kehitys

Puolijohdeteollisuus syntyi 1950-luvulla yksittäisten transistorien valmistuksella, joka johti useampien transistorien integrointiin mikropiireiksi 1960- ja 1970-luvuilla.[2]

Mikropiirit valmistetaan yhä 1970-luvulla kehitetyn MOS-tekniikan (esimerkiksi CMOS ja MOSFET) muunnoksilla. Optisen litografian tekniikoilla, joilla valokuvausta muistuttavilla menetelmillä tuotetaan mikropiirin johdinkuviot, on pystytty muutaman vuoden välein pienentämään niin sanottua viivan leveyttä eli pienintä johtimen paksuutta ja saavutettu suurempi pakkaustiheys transistoreille.

Transistorien pakkaustiheyden kehittymistä kustannuksien pysyessä vakiona on ennustettu Mooren lailla, Dennard-skaalaus lisäsi havainnon virran ja jännitteen pienentymisestä transistorin pienentymisen mukaan.[3] Lisäksi pienempi transistori vaihtaa tilaa nopeammin jolloin suorituskyky on parempi.[3] Silicon On Insulator (SOI) tekniikka on yksi kehitysaskeleista, jolla on saavutettu suurempi nopeus ja pienempi virrankulutus.[4]

Tekniikan siirtyessä 22 nanometristä 16 nanometriin tasotransistoreista siirryttiin FinFET-tekniikkaan.[5] Tämän korvaajaksi on esitetty GAAFET-tekniikkaa.[5] GAAFET-rakenteita tulee käyttöön kahden ja kolmen nanometrin transistorien valmistuksessa.[6] Huolimatta GAAFET:in parannuksista FinFET säilyy joiden valmistajien suosiossa koska uskovat pystyvänsä puristamaan siitä enemmän irti.[7] IBM on valmistanut ensimmäisen kahden nanometrin valmistustekniikkaa käyttävän koepiirin.[8] Kolmiulotteisten rakenteiden myötä käytetyn prosessin tulkinta kertoo "vastaavan kaksiulotteisen piirin" koon ja transistoritiheys on usein tarkempi mittayksikkö.[8]

Piirien pinoamisella pinta-alan kasvattamisen sijaan pyritään saamaan lisää kaistanleveyttä muistipiireistä.[9] Pinoamista esitettiin jo 1960-luvulla.[10][11] Pinoamisella etäisyydet saadaan pieneksi, mutta tekniikka piirien läpikuljettamiseen on ollut vaikeaa.[12]

ASML toi markkinoille EUV-litografialla (extreme ultraviolet) valmistamiseen käytettävät laitteet vuonna 2017.[13] EUV-litografia käyttää 13,5 nanometrin aallonpituutta kun aiempi syvä ultravioletti (deep ultraviolet) käytti 193 nanometrin aallonpituutta.[13][14] Puolijohdeteollisuuden "valimot" (engl. foundry) käyttävät tusinasta kahteenkymmeneen valmistuslaitteita ja tarvitsevat suuria tuotantovolyymeja, jolloin tuottosuhdetta voi kehittää ja kustannukset voi kattaa.[15][16] Mikropiirien valmistuksessa on noin 1 500 vaihetta, joissa on jokaisessa 100–500 muuttujaa.[16] Jos jokaisen vaiheen onnistumissuhde on 99,9% niin alle neljännes lopullisesta tuotteesta on käyttökelpoista.[16] EUV-litografia vaatii erittäin puhtaita ympäristöjä johtuen ilman aiheuttamasta valon siroamisesta sekä valon absorboitumisesta pienillä aallonpituuksilla.[17][18]

Vuonna 2004 löydetty grafeeni voi jatkossa mahdollistaa huomattavasti pienemmät mikropiirit.[19]

MOS-transistoreja on valmistettu viidessä vuosikymmenessä 13·1021 transitoria, joka on 99,9 prosenttia transistoreista.[20]

Mikropiirien massatuotanto

Mikropiirien kaikkien, jopa noin sadan pinnoitusvaiheen tekeminen, voi tuottaa tulokseksi niinkin pitkän läpimenoajan kuin 3 kuukautta. Useimmiten koko tuotanto tehdään puhdastiloissa. Kun kaikki pinnoitusvaiheet piikiekolle on tehty, kiekko paloitellaan prosessoreiden kokoisiin paloihin, jotka sitten paketoidaan siten, että ne ovat asennettavissa piirikorteille. Koska pinnoitus kaikkine vaiheineen kestää kauan eikä aika ole riippuvainen pinnoitusalan koosta, myös litteät näytöt tehdään neliömetrin tai suuremmillekin laseille, jotka myös pinnoituksen jälkeen paloitellaan. Mitä suurempi alusta sitä parempi tuottavuus. IC-piirien ja muistisirujen massatuotantoteollisuus alkoi siirtymään 2000-luvun ensimmäisellä vuosikymmenellä halkaisijaltaan 200 mm (8") kiekoista 300 mm (12") kiekkoihin ja täysautomaattisiin "mega"-tehtaisiin aluksi paljolti sellaisten valtioiden tuella, jotka halusivat kasvattaa puolijohdeteollisuuttaan.[21] Valmistus on aloitettu 1970-luvulla 1 tuuman kiekoilla, jota seurasivat 2 ja 3 tuumaa sekä 100 mm, 150 mm ja 200 mm kiekot. Standardointi on valmiina 450 mm kiekkoihin, mutta teknisenä ongelmana 2010-luvulla ollut EUV (Extreme Ultra Violet) litografian käytettävyys tuolle kiekkokoolle. On myös esitetty kannattavuuslaskelmia, jotka eivät tue siirtymistä suurempaan kokoon nykyisillä tehdasinvestointien kustannuksilla.lähde?

Vuoden 2021 tuotantovaikeudet

Vuonna 2021 raportoitiin globaaleista tuotantovaikeuksista, jonka johdosta muun muassa autovalmistajat ovat joutuneet rajoittamaan tuotantoaan ja joka vaikuttaa myös kuluttajaelektroniikan saatavuuteen.[22][23] Syiksi piirien pulalle on sanottu COVID-19 -pandemia ja Taiwanissa oleva kuivuus, mutta myös Kiinan ja Yhdysvaltain välillä olevaa kauppasotaa on esitetty syyksi.[22][23][24] Koronapandemian johdosta kuluttajaelektroniikan kysyntä on myös kasvanut huomattavasti kun etäopetus ja etätyö yleistyivät nopeasti.[24][22] Maaliskuussa 2021 Renesasin tuotantolaitoksella oli tulipalo, jonka arvioidaan vaikeuttavan autoteollisuuden piirien saatavuutta entisestään.[25] Pulan seurauksena yhdysvaltalaiset valmistajat ovat lähettäneet kirjeen Yhdysvaltain presidentille Joe Bidenille, joka on pyytänyt kongressilta 50 miljardin dollarin rahoitusta puolijohteiden valmistukseen ja tutkimukseen.[23] Taiwanilainen TSMC on kertonut investoivansa seuraavan kolmen vuoden aikana 100 miljardia dollaria vastauksena kasvaneeseen kysyntään.[26] TSMC:llä on joidenkin arvioiden mukaan 90% markkinaosuus kehittyneimpien prosessorien valmistuksesta.[16] Autovalmistajat ovat syyttäneet TSMC:tä tuotantovaikeuksistaan, mutta nämä ovat TSMC:n mukaan "asiakkaan asiakkaan asiakkaita" eikä TSMC pysty priorisoimaan näitä.[16]

Osa komponenttipulasta liitetään ennakoitua suurempaan kysyntään, johon liitetään myös kiinalaisten valmistajien tilaukset varastoon johtuen huolesta uusista kauppapakotteista.[27][28] Edistyneimmässä EUV-litografiassa käytettäviä laitteita valmistaa vain ASML.[28] Suuri osa toimitusvaikeuksista koskee yksinkertaisempia komponentteja kuten virran ohjaukseen käytettäviä, jotka valmistetaan vanhemmilla tuotantoprosesseilla.[28] Suuri osa kysynnästä koskee yksinkertaisia mutta laajalti levinneitä komponentteja, joiden kysyntä vaihtelee rajusti ja joiden valmistuskapasiteettiin investointi on riskialtista.[28] Vuonna 2019 komponenttien myynti laski, mutta vuodelle 2020 ennakoitiin kysynnän kasvua ja elokuusta 2020 elokuuhun 2021 kysyntä oli moninkertaisesti suurempaa kuin ennakoitu.[28] Piikiekkoja valmistava GlobalWafers on kertonut ettei pysty toimittamaan kysynnän mukaista määrää ja ennakoi tilanteen jatkuvan vuoteen 2024 saakka.[29]

Sähköautoista tunnettu Tesla on kertonut komponenttipulan johdosta vaihtaneensa vaihtoehtoisiin piireihin ja kirjoittaneensa firmwaren uudestaan "viikoissa".[30]

Puolijohdeteollisuuden käyttämän neon-kaasun toimitus on kärsinyt Venäjän hyökättyä Ukrainaan. Sodan vuoksi kaksi Ukrainalaista yritystä on joutunut lopettamaan tuotantonsa. Kaksi Ukrainalaista yritystä tuottaa maailmassa noin puolet puolijohdeteollisuuden tarpeisiin sopivasta laadusta.[31]

Liikevaihto

Gartnerin mukaan vuonna 2022 puolijohdeteollisuus kasvoi 601,7 miljardiin dollariin, joka oli 1,1 prosenttia kasvua vuoden 2021 595 miljardin dollarin liikevaihdosta.[32] Vuonna 2023 liikevaihto laski 47 miljardilla 526,8 miljardiin dollariin. Laskun arvioidaan johtuvan varastomääristä. Puolijohdetuotteiden myynnistä suurin osuus oli logiikkatuotteilla (CPU, GPU, FPGA), jonka jälkeen tulivat järjestyksessä muistituotteet, autoteollisuuden piirit ja mikrokontrollerit.[33] Autoteollisuus käyttää puolijohteita lukuisiin järjestelmiin kuten lukkiutumattomiin jarruihin, ilmatyynyihin, moottorin ohjaukseen, navigaatiojärjestelmiin ja niin edelleen.[34]

Puolijohdetuotteet

Puolijohdetuotteet voidaan jakaa muisti-, logiikka-, suoritin- ja tehopuolijohteisiin.

Muistipiirit

Pääartikkeli: Muistipiiri

Puolijohdeteollisuuden tuotteita ovat myös muistipiirit, joita ovat muun muassa:[35]

Suorittimet

Suorittimet (mikroprosessorit, mikrokontrollerit, järjestelmäpiirit, digitaaliset signaaliprosessorit) ovat yksi merkittävä kohde puolijohteille.[35]

Tehopuolijohteet

Tehopuolijohteita ovat muun muassa tyristorit ja tasasuuntaajat (virtadiodit).[35] Tehopuolijohteita käytetään muun muassa kytkin- ja tasasuuntaajalaitteissa kuten hakkuriteholähteissä.

Muita luokitteluja

Puolijohdeteollisuuden valmistamat mikropiirit voidaan luokitella muillakin tavoin esimerkiksi digitaalisiin, analogisiin ja yhdistelmiin. Yhdistelmiä ovat muun muassa A/D- ja D/A-muuntimet.[36]

Diskreettejä komponentteja ovat yksittäiset laitteet, joilla on yksittäinen toiminto, kuten transistorit ja diodit.[37]

Optisen elektroniikan laitteisiin kuuluvat näyttölaitteet, lamput (LED), valoherkät kennot ja laser-lähettimet.[35] Anturilaitteet mittaavat muun muassa lämpötilaa, painetta ja magneettikenttää. Toimilaitteet muuttavat sähköisen signaalin fyysiseksi toimeksi ja voivat sisältää monimutkaista tekniikkaa.[35]

Yritykset

Vuonna 2021 Intel ilmoitti ryhtyvänsä valimoksi ja tarjoavansa valmistusta muiden piireille, jota se on aiemmin välttänyt.[15] Intel on omien vaikeuksiensa johdosta myös suunnitellut ulkoistavansa kehittyneimpien piiriensä valmistuksen TSMC:lle.[15] Suuret yritykset kuten Amazon ja Google ovat siirtymässä pois Intelin piireistä itsesuunnittelemiinsa piireihin.[15]

Aiemmin suuri valmistaja IBM myi valmistuksensa GlobalFoundriesille vuonna 2014.[15] IBM ei ollut panostanut valmistukseen riittävästi tehdäkseen siitä kannattavaa ja myi sen.[15]

Vuonna 1987 perustettu TSMC kilpaili 2010-luvulla Samsungin kanssa kehittyneimmässä teknologiassa ja sai merkittäviä voittoja vähävirtaisessa teknologiassa, jota se oli kehittänyt satojen eri asiakkaiden tarpeisiin.[15] TSMC:llä oli usein uusi asiakas halukkaana maksamaan uudesta teknologiasta.[15] Useat asiakkaat mahdollistivat nopean kehityksen ja yritys pystyi ottamaan useita pienempiä askeleita: suuremmissa harppauksissa on myös suuremmat riskit Chenming Hun mukaan.[15] Useat yritykset ovat valmistaneet itsesuunniteltuja piirejä TSMC:llä ja sillä on vuonna 2021 arviolta 90% osuus kehittyneimmissä piireissä (5–10 nanometrin tekniikoilla) loppujen ollessa Samsungilla.[15][38] Suurimmat voitot piireissä on niiden suunnittelussa ja myynnissä eikä niiden valmistuksessa: vastoin monien odotuksia TSMC on kuitenkin osoittanut olevansa kannattava.[15]

Eurooppalaiset valmistajat dominoivat autovalmistajien piireissä, mutta ovat pitkään keskittyneet enemmän suunnitteluun kuin valmistukseen.[38] Maailman mikropiirimarkkinoista autovalmistajat ovat pieniä tekijöitä, jotka käyttävät pääasiassa 28–65 nanometrin tekniikoilla tehtyjä piirejä.[38]

Suurimmat yritykset

Suurimmat yritykset myynnin mukaan vuoden 2019 ensimmäisellä neljänneksellä:[39]

  1. Intel
  2. Samsung
  3. TSMC
  4. SK Hynix
  5. Micron Technology
  6. Broadcom
  7. Qualcomm
  8. Texas Instruments
  9. Toshiba
  10. Infineon

Valmistuskapasiteetti maittain

IC Insightsin mukaan puolijohdeteollisuuden valmistuskapasiteetin jakaantuminen vuoden 2019 lopussa:[40]

Alue Valmistuskapasiteetin osuus maailmanlaajuisesta
Taiwan 21,6 %
Etelä-Korea 20,9 %
Japani 16,0 %
Kiina 13,9 %
Pohjois-Amerikka 12,8 %
Eurooppa 5,8 %
Muu maailma 9,0 %

Puolijohdeteollisuus Suomessa

Eräitä Suomalaisia puolijohdeteollisuuden yrityksiä:

  • Beneq, ALD-koneiden valmistus ohutkalvojen kasvatukseen, elektroluminesenssinäyttöjen tuotanto
  • CoreHW, mm. ASIC-piirit
  • Canatu, hiilinanomateriaalit mm. puolijohdevalmistukseen
  • DCA Instruments, MBE (Molecular-beam epitaxy) koneiden valmistus ohutkalvojen kasvatukseen
  • Elfys, mm. UV- ja erikoisdiodit
  • Inkron, materiaalit mm. puolijohdekomponenttien valmistukseen
  • Micro Analog Systems, anturisignaalien käsittelypiirit, ASIC- ja ASSP-ratkaisut
  • Minima Processor, vähävirtaiset prosessoriytimet järjestelmäpiireihin
  • Murata Electronics, kiihtyvyysantureiden valmistus
  • Okmetic, erikoispii- ja SOI-kiekkojen valmistus
  • Picosun, ALD-koneiden valmistus ohutkalvojen kasvatukseen
  • Siru Innovations, mm. grafiikkakiihdyttimet järjestelmäpiireihin
  • Vaisala, säätieteellisten mittalaitteiden valmistus
  • VLSI Solution, mm. järjestelmäpiirit audiosignaalien käsittelyyn, ASIC-piirit

Katso myös

Lähteet

  1. a b c What are Compound Semiconductors csa.catapult.org.uk. Viitattu 8.4.2021. (englanniksi) 
  2. A Timeline of Semiconductors in Computers computerhistory.org. Viitattu 6.3.2022. (englanniksi)
  3. a b William Gropp: Lecture 15: Moore’s Law and Dennard Scaling (PDF) wgropp.cs.illinois.edu. Viitattu 22.10.2021. (englanniksi)
  4. Silicon On Insulator (SOI) qub.ac.uk. Viitattu 6.3.2022. (englanniksi)
  5. a b Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm anandtech.com. 26.8.2020. Viitattu 26.3.2021. (englanniksi) 
  6. Piirien rakenne muuttuu 2 nanometrissä etn.fi. 28.9.2020. Viitattu 26.3.2021.
  7. Foundries look to GAAFET for new process nodes beyond 3 nm techspot.com. 26.1.2021. Viitattu 27.3.2021. (englanniksi)
  8. a b IBM Creates First 2nm Chip anandtech.com. 6.5.2021. Viitattu 7.5.2021. (englanniksi)
  9. HBM explained: Can stacked memory give AMD the edge it needs? Ars Technica. Viitattu 14.8.2017. (englanniksi)
  10. Three-dimensional integrated circuits and methods of making same patents.google.com. Viitattu 6.3.2022. (englanniksi)
  11. Three dimensional memory utilizing semiconductor memory devices patents.google.com. Viitattu 6.3.2022. (englanniksi)
  12. Three-Dimensional Integration of Semiconductors (PDF) Springer. Arkistoitu 23.10.2021. Viitattu 6.3.2022. (englanniksi)
  13. a b Will Knight: The $150 Million Machine Keeping Moore’s Law Alive wired.com. 30.8.2021. Viitattu 21.10.2021. (englanniksi)
  14. First commercial EUV-enabled chips about to hit the market innovationorigins.com. 16.9.2018. Viitattu 21.10.2021. (englanniksi)
  15. a b c d e f g h i j k Tim De Chant: Intel slipped—and its future now depends on making everyone else’s chips arstechnica.com. 20.10.2021. Viitattu 21.10.2021. (englanniksi)
  16. a b c d e Charlie Campbell: Inside the Taiwan Firm That Makes the World’s Tech Run time.com. 1.10.2021. Viitattu 21.10.2021. (englanniksi)
  17. Jan van Schoot: This Machine Could Keep Moore’s Law on Track spectrum.ieee.org. 31.7.2023. Viitattu 31.7.2023. (englanniksi)
  18. Linda Geppert: Chip Making’s Wet New World spectrum.ieee.org. 30.4.2004. Viitattu 31.7.2023. (englanniksi)
  19. Tiny graphene microchips could make your phones and laptops thousands of times faster, say scientists zdnet.com. 15.2.2021. Viitattu 26.3.2021. (englanniksi)
  20. 13 Sextillion & Counting: The Long & Winding Road to the Most Frequently Manufactured Human Artifact in History 2.4.2018. Computer History Museum. Viitattu 8.4.2021. (englanniksi)
  21. Megafabs: Why they build them Virtual museum of semiconductors, timeline. 17.1.2008. The Chip history center. Viitattu 22.2.2020.
  22. a b c A global chip shortage is wreaking havoc on everything from cars to game consoles. Here are the industries most affected by the ongoing scarcity. businessinsider.com. 15.2.2021. Viitattu 8.4.2021. (englanniksi) 
  23. a b c Absolutely fab: As TSMC invests $100bn to address chip shortage, where does that leave the rest of the industry? theregister.com. 2.4.2021. Viitattu 8.4.2021. (englanniksi) 
  24. a b TSMC:n hallituksen puheenjohtaja: Piiripulan syynä on kauppasota, ei valmistuskapasiteetti io-tech.fi. 31.3.2021. Arkistoitu 13.4.2021. Viitattu 8.4.2021.
  25. Fire Destroys Part of Renesas Fab eetimes.com. 22.3.2021. Viitattu 8.4.2021. (englanniksi)
  26. TSMC cancels chip price cuts and promises $100bn investment surge asia.nikkei.com. 1.4.2021. Viitattu 7.4.2021. (englanniksi)
  27. Lavender Au: China is scrambling for semiconductor supremacy wired.co.uk. 29.4.2021. Viitattu 14.12.2021. (englanniksi)
  28. a b c d e Will Knight: Why the chip shortage drags on and on… and on arstechnica.com. 14.11.2021. Viitattu 14.12.2021. (englanniksi)
  29. GlobalWafers’ orders extend into 2024 taipeitimes.com. 16.12.2021. Viitattu 24.12.2021. (englanniksi)
  30. Andrew J. Hawkins: Tesla rewrote its own software to survive the chip shortage theverge.com. 26.7.2021. Viitattu 28.10.2021. (englanniksi)
  31. Dan Robinson: TSMC: You know what would be fab? Some local neon supplies theregister.com. 10.11.2022. Viitattu 13.11.2022. (englanniksi)
  32. Gartner Says Worldwide Semiconductor Revenue Grew 1.1% in 2022 gartner.com. 17.1.2023. Viitattu 11.2.2024. (englanniksi)
  33. Anton Shilov: Global Semiconductor Sales Hit $526.8 Billion in 2023 anandtech.com. 9.2.2024. Viitattu 11.2.2024. (englanniksi)
  34. Semiconductors – the Next Wave (PDF) huhtikuu 2019. Arkistoitu . Viitattu 11.2.2024. (englanniksi)
  35. a b c d e WSTS Product Classification 2018 (PDF) semiconductors.org. 16.12.2017. Viitattu 16.4.2024. (englanniksi)
  36. The Main Types of Chips Produced by Semiconductor Companies investopedia.com. 27.7.2022. Viitattu 16.4.2024. (englanniksi)
  37. Types of Semiconductor Devices toshiba.semicon-storage.com. Viitattu 16.4.2024. (englanniksi)
  38. a b c TSMC: How a Taiwanese chipmaker became a linchpin of the global economy arstechnica.com. 24.3.2021. Viitattu 26.3.2021. (englanniksi) 
  39. "Intel Tops Q1 Chip Sales; Semiconductor Market to Decline 7.2% in 2019", IC insights, May 17, 2019. 
  40. Taiwan to stay ahead of China as top chip manufacturing titan theregister.com. 25.6.2020. Viitattu 25.6.2020. (englanniksi)

Aiheesta muualla

  • The Semiconductor Ecosystem (englanniksi)